您现在的位置是:主页 > news > 外贸b2b网站开发/人工智能培训课程

外贸b2b网站开发/人工智能培训课程

admin2025/4/29 8:27:02news

简介外贸b2b网站开发,人工智能培训课程,手机端网站界面如何做,怎么做游戏推广赚钱Verilog 读写文件 在数字设计验证中,有时我们需要大量的数据,这时可以通过文件输入,有时我们需要保存数据,可以通过写文件保存。 读写文件testbench module file_rw_tb();reg clk; reg rstn;reg [31:…

外贸b2b网站开发,人工智能培训课程,手机端网站界面如何做,怎么做游戏推广赚钱Verilog 读写文件 在数字设计验证中,有时我们需要大量的数据,这时可以通过文件输入,有时我们需要保存数据,可以通过写文件保存。 读写文件testbench module file_rw_tb();reg clk; reg rstn;reg [31:…

Verilog 读写文件

在数字设计验证中,有时我们需要大量的数据,这时可以通过文件输入,有时我们需要保存数据,可以通过写文件保存。

读写文件testbench

module file_rw_tb();reg              clk;
reg              rstn;reg   [31:0]     memh[15:0];
reg   [31:0]     data;integer          i;
integer          handle;initial
beginclk  = 0;rstn = 1;#50    rstn = 0;#100   rstn = 1;handle=$fopen("wtest.dat");//read data to memory$readmemh("test.dat",memh);//write data to filefor(i=0;i<16;i = i + 1)begin$fdisplay(handle,"%h",memh[i]);//%b Binary ; %h  Hexadecimal ; default decimalend#800 $finish;
endalways #20 clk = ~clk;initial begin$fsdbDumpfile("test.fsdb");$fsdbDumpvars();
endendmodule

测试结果

847278-20161101235304236-1477111901.png

转载于:https://www.cnblogs.com/OneFri/p/6021503.html